课程培训
超大规模集成电路可测性设计(DFT)技术与实践培训课程

 

培训大纲:
1、DFT overview DFT 概述
What is and Why DFT;
VLSI implementation process;
Manufacturing Defect;
Manufacturing Test;
Automatic Test Equipment (ATE)
introduction
2、Test and fault 测试和故障
Observability and Controllability
Role of Test
Test Development Flow
Real Tests
DFT Cost
Fault Modeling
3、DFT Methods introduction DFT 方法学介绍
DFT Methods
Ad Hoc DFT
Scan Basic Concept
MBIST Basic Concept
LBIST Basic Concept
BSCAN Basic Concept
JTAG Architecture
IP Test
4、Mainstream DFT EDA tools and chip DFT
integrated solutions.主流DFT 工具与芯片DFT技术介绍
DFT Compiler (DC);
Mentor Testkompress/TessentMbist/
TessentBoundary Scan;
Synopsys TetraMAX;
Cadence Modus;
DFT integrated solutions;
5、Scan introduction ( with DFT compiler)芯片scan技术介绍
Understanding Scan Testing;
Scan Chain Insertion Flow Preview;
Test Protocols and DRC;
Test Ready Compile;
Top Down Scan Insertion Flow;
Bottom Up Scan Insertion Flow;
Scan Compression method
XOR vs OPMISR);
Lab DFT Compiler introduce
6、ATPG introduction.芯片ATPG技术介绍
What is testing and ATPG
Stuck at ATPG
Transition ATPG
Path delay ATPG
IDDQ ATPG
D algorithm
7、ATPG implementation ( with TestKompress/
TetraMAX Lab). 芯片ATPG技术实现
ATPG Flow Preview
Building Design
Design Rules Check
Controlling ATPG
Saving Pattern and Pattern Validation
Lab TestKompress/TetraMAX introduce
8、Understanding MBIST
芯片MBIST技术介绍
Why Memory testing is required?
Memory Faults
Memory Testing Techniques
Memory BIST algorithms
Memory interface test (RAM Sequential
Test)
9、MBIST Implement ( with Tessent MBIST Lab). 芯片MBIST技术实现
Tessent MBIST generation and insertion
flow;
ETChecker Introduction;
Block Flow Planning with ETPlanner;
ETAssemble and ETSignoff in the Block
Flow;
Memory BIST Hierarchical Top Level Flow;
MBIST Diagnostics;
Tessent MBIST parameters setting;
Lab Tessent MBIST introduce;
10、DFT latest innovative technologies. 最新的DFT技术介绍
Channel Sharing of scan
Cell aware ATPG technique
ATPG Hierarchy scan technique
Logic BIST/SCAN Hybrid technique
Physical aware scan insertion
2.5D/3D Test
IJTAG(IEEE 1687)
Partial Good Test
11、DFT Flow and tools. 芯片项目中的DFT 流程和工具
DFT engineer 5 tasks
DFT flow (top and block level)
DFT flow inputs/outputs in each step
DFT tools (flow used)
12、DFT SPEC and Checklist. 芯片项目中的DFT规格书和检查表
DFT spec of one chip
DFT check-list in project
DFT patterns check-list
13、Frequently see DFT problems (DFT
architecture). 工程实践中的DFT常见问题(架构方案)
Consider the three keys for DFT - Test
costs/quality/yield;
Define the whole chip DFT SPEC and test
plan ;
Implement Low-power scan inserting;
Implement Low-power MBIST;
Implement Low-power ATPG;
14、Frequently see DFT problems (Design and
debug. 工程实践中的DFT常见问题(电路设计和调试)
Tessent MBIST debug skills;
Improve the scan test coverage;
Insert test points;
Insert On-Chip Clock Control;
Deliver the DFT related SDC files for timing;
DFT timing issue debug;
Debug the mismatches in scan/mbist
/bscan simulation
15、Frequently see DFT problems (ATE test).工程实践中的DFT常见问题 (ATE测试)
Troubleshooting Test Patterns
ATE patterns fail - debug
Scan diagnose flow
Fault analysis
Improve the yield
16、DFT Summary. DFT小结
The history and DFT
The current situation of DFT
The future of DFT
DFT EDA tools – compare and evaluate
Thinking Design in DFT
How to be a good DFT engineer
The course summary
 



如果您想学习本课程,请预约报名
如果没找到合适的课程或有特殊培训需求,请订制培训
除培训外,同时提供相关技术咨询与技术支持服务,有需求请发需求表到邮箱soft@info-soft.cn,或致电4007991916
技术服务需求表下载请点击

服务优势:
丰富专家资源,精准匹配相关行业,相关项目技术精英,面向用户实际需求,针对性培训或咨询,互动式交流,案例教学,精品小班,实际工程项目经验分享,快捷高效,节省时间与金钱,少走弯路与错路。

专家力量:
中国科学院相关研究所高级研究人员
西门子,TI,vmware,MSC,Ansys,MDI,Mentor, candence,Altium,Atmel 、Freescale,达索,华为等
大型公司高级工程师,项目经理,技术支持专家
中科信软培训中心,资深专家或讲师
大多名牌大学,硕士以上学历,相关学历背景专业,理论素养高
多年实际项目实践,大型复杂项目实战案例分享,热情,乐于技术分享
针对客户实际需要,真实案例演示,互动式沟通,学有所值
报名表下载
联系我们 更多>>

咨询电话010-62883247

                4007991916

咨询邮箱:soft@info-soft.cn  

 

微信号.jpg

  微信咨询

随时听讲课

聚焦技术实践

订制培训 更多>>